The Ultimate Chiplet Interconnect.​

For today’s most powerful systems—from AI to automotive to advanced consumer products—the way forward is no longer increasingly unaffordable process scaling: it is multi-die systems assembled from chiplets. But chiplets have to communicate. So, the race to chiplets has turned a spotlight squarely on die-to-die interconnect. Chiplet-based systems require new inter-die connection technology optimized for high bandwidth, low latency, and low power.

Fortunately, such technology exists. In 2016, Eliyan Founder and CEO Ramin Farjadrad developed a breakthrough die-to-die interconnect architecture that reached new levels of high bandwidth and low latency at best-in-class area and power efficiency. Given its exceptional performance and features, this architectural breakthrough was adopted by the Open Compute Project (OCP) as the industry-standard chiplet interconnect called Bunch of Wires (BoW).

Eliyan has continued to advance this technology. NuLink™, Eliyan’s PHY for die-to-die interconnect, delivers performance, power, and area efficiency that no other technology can offer, on both silicon and organic substrates. We deliver the most competitive industry-standard as well as custom chiplet connectivity solutions with up to 4x the bandwidth and 2x the power efficiency of today’s alternatives leveraging our exclusive patented implementation techniques.

In 2022, the Universal Chiplet Interface Express (UCIe) was proposed and adopted by a broad cross-section of semiconductor industry heavyweights. BoW and UCIe use similar clocking and signaling architectures, and both define chiplet interconnects for organic substrates as well as advanced packaging. Both are fully supported by Eliyan’s NuLink technology.

NuLink is a key technology in the way forward from monolithic systems-on-chip to multi-die systems-on-substrate.

Learn More

High bandwidth
Low cost
Increased yield

NuLink™ Enables

  • High bandwidth at low power
  • Enables large & complex systems in package (10-12 reticles)
  • Low packaging cost and short production cycle
  • High test coverage and high yield
  • Long D2D reach & low thermal crosstalk
  • Exceptional signal & power integrity
  • Widely available supply chain